Handbook of Wafer Bonding

Handbook of Wafer Bonding
Nicht lieferbar | Lieferzeit: Nicht lieferbar I

251,90 €*

Alle Preise inkl. MwSt. | Versandkostenfrei
Artikel-Nr:
9783527326464
Veröffentl:
2012
Seiten:
396
Autor:
Peter Ramm
Gewicht:
924 g
Format:
244x176x26 mm
Sprache:
Englisch
Beschreibung:

Dr. Peter Ramm is head of the department Device and 3D Integration of Fraunhofer EMFT in Munich, Germany, where he is responsible for process integration of innovative devices and heterogeneous systems with a specific focus on 3D integration technologies. Dr. Ramm received the physics and Dr. rer. nat. degrees from the University of Regensburg and subsequently worked for Siemens in the DRAM facility where he was responsible for the process integration. In 1988 he joined Fraunhofer IFT in Munich, focusing for over two decades on 3D integration technologies. Peter Ramm is author or co-author of over 100 publications and 24 patents. He received the "Ashman Award 2009" from the International Electronics Packaging Society (IMAPS) "For Pioneering Work on 3D IC Stacking and Integration, and leading-edge work on SiGe and Si technologies". Peter Ramm is Fellow and Life Member of IMAPS, organizing committee member of IEEE 3DIC conference and co-editor of Wiley´s "Handbook of 3D Integration".
 
Dr. James Jian-Qiang Lu received his Dr. rer. nat. (Ph.D.) degree from Technical University of Munich, and is currently an Associate Professor in Electrical Engineering at Rensselaer Polytechnic Institute (RPI), Troy, NY. Dr. Lu has worked on 3D hyper-integration technology, design and
applications for over a decade, with focus on hyper-integration and micro-nano-bio interfaces for future chips. He has more than 200 publications in the areas from micro-nano-electronics theory and design to materials, processing, devices, integration and packaging. He is an IEEE Fellow for contributions to three-dimensional integrated circuit technology, and a Fellow and Life Member of International Microelectronics and Packaging Society (IMAPS). He is a recipient of the 2008 IEEE CPMT Exceptional Technical Achievement Award for his pioneering contributions to and leadership in 3D integration/packaging and the 2010 IMAPS William D. Ashman Achievement Award for contributions and research in 3D integration and packaging.
 
Dr. Maaike M.V. Taklo is employed as a senior research scientist at SINTEF ICT in Norway at the Department of Instrumentation which she joined in 2010. She is group leader for "Advanced Packaging and Interconnects" within this department. From 1998 until 2010 she was employed at the Department of Microsystems and Nanotechnology within SINTEF ICT where she worked on MEMS processing and was responsible for their wafer level bonding activities. She received her Ph.D. degree in Physical Electronics from the University of Oslo for her thesis entitled "Wafer bonding for MEMS". She is the author or co-author of over 35 papers. In 2008 she received a "Best of Conference" award at the Pan Pacific Symposium for her presentation of "BCB Bonded Wireless Vibration Sensor". She is member of the technical committee of IWLPC and the program committee of 3DIC.
The focus behind this book on wafer bonding is the fast paced changes in the research and development in three-dimensional (3D) integration, temporary bonding and micro-electro-mechanical systems (MEMS) with new functional layers. Written by authors and edited by a team from microsystems companies and industry-near research organizations, this handbook and reference presents dependable, first-hand information on bonding technologies.
 
Part I sorts the wafer bonding technologies into four categories: Adhesive and Anodic Bonding; Direct Wafer Bonding; Metal Bonding; and Hybrid Metal/Dielectric Bonding. Part II summarizes the key wafer bonding applications developed recently, that is, 3D integration, MEMS, and temporary bonding, to give readers a taste of the significant applications of wafer bonding technologies.
 
This book is aimed at materials scientists, semiconductor physicists, the semiconductor industry, IT engineers, electrical engineers, and libraries.
Filling a gap for a handbook and reference on recent developments in wafer bonding technology, an author and editor team from microsystems companies and industry-near research organizations presents reliable, first-hand information.
TECHNOLOGIES
 
A. Adhesive and Anodic Bonding
 
Glass Frit Wafer Bonding
Wafer Bonding Using Spin-On Glass as Bonding Material
Polymer Adhesive Wafer Bonding
Anodic Bonding
 
B. Direct Wafer Bonding
 
Direct Wafer Bonding
Plasma-Activated Bonding
 
C. Metal Bonding
 
Au/Sn Solder
Eutectic Au-In Bonding
Thermocompression Cu-Cu Bonding of Blanket and Patterned Wafers
Wafer-Level Solid-Liquid Interdiffusion Bonding
 
D. Hybrid Metal/Dielectric Bonding
 
Hybrid Metal/Polymer Wafer Bonding Platform
Cu/SiO2 Hybrid Bonding
Metal/Silicon Oxide Hybrid Bonding
 
APPLICATIONS
 
Microelectromechanical Systems
Three-Dimensional Integration
Temporary Bonding for Enabling Three-Dimensional Integration and Packaging
Temporary Adhesive Bonding with Reconfiguration of Known Good Dies for Three-Dimensional Integrated Systems
Thin Wafer Support System for above 250°C Processing and Cold De-bonding
Temporary Bonding: Electrostatic

Kunden Rezensionen

Zu diesem Artikel ist noch keine Rezension vorhanden.
Helfen sie anderen Besuchern und verfassen Sie selbst eine Rezension.