Synchronization Design for Digital Systems

Synchronization Design for Digital Systems
-0 %
Der Artikel wird am Ende des Bestellprozesses zum Download zur Verfügung gestellt.
 PDF
Sofort lieferbar | Lieferzeit: Sofort lieferbar

Unser bisheriger Preis:ORGPRICE: 111,95 €

Jetzt 111,94 €* PDF

Artikel-Nr:
9781461539902
Veröffentl:
2012
Einband:
PDF
Seiten:
175
Autor:
Teresa H. Meng
Serie:
The Springer International Series in Engineering and Computer Science
eBook Typ:
PDF
eBook Format:
PDF
Kopierschutz:
Adobe DRM [Hard-DRM]
Sprache:
Englisch
Beschreibung:

Synchronization is one of the important issues in digital system design. While other approaches have always been intriguing, up until now synchro- nous operation using a common clock has been the dominant design philo- sophy. However, we have reached the point, with advances in technology, where other options should be given serious consideration. This is because the clock periods are getting much smaller in relation to the interconnect propagation delays, even within a single chip and certainly at the board and backplane level. To a large extent, this problem can be overcome with care- ful clock distribution in synchronous design, and tools for computer-aided design of clock distribution. However, this places global constraints on the design, making it necessary, for example, to redesign the clock distribution each time any part of the system is changed. In this book, some alternative approaches to synchronization in digital sys- tem design are described and developed. We owe these techniques to a long history of effort in both digital system design and in digital communica- tions, the latter field being relevant because large propagation delays have always been a dominant consideration in design. While synchronous design is discussed and contrasted to the other techniques in Chapter 6, the dom- inant theme of this book is alternative approaches.
Synchronization is one of the important issues in digital system design. While other approaches have always been intriguing, up until now synchro- nous operation using a common clock has been the dominant design philo- sophy. However, we have reached the point, with advances in technology, where other options should be given serious consideration. This is because the clock periods are getting much smaller in relation to the interconnect propagation delays, even within a single chip and certainly at the board and backplane level. To a large extent, this problem can be overcome with care- ful clock distribution in synchronous design, and tools for computer-aided design of clock distribution. However, this places global constraints on the design, making it necessary, for example, to redesign the clock distribution each time any part of the system is changed. In this book, some alternative approaches to synchronization in digital sys- tem design are described and developed. We owe these techniques to a long history of effort in both digital system design and in digital communica- tions, the latter field being relevant because large propagation delays have always been a dominant consideration in design. While synchronous design is discussed and contrasted to the other techniques in Chapter 6, the dom- inant theme of this book is alternative approaches.

Kunden Rezensionen

Zu diesem Artikel ist noch keine Rezension vorhanden.
Helfen sie anderen Besuchern und verfassen Sie selbst eine Rezension.