VLSI Circuit Design Methodology

VLSI Circuit Design Methodology
-0 %
Besorgungstitel - wird vorgemerkt | Lieferzeit: Besorgungstitel - Lieferbar innerhalb von 10 Werktagen I

Unser bisheriger Preis:ORGPRICE: 112,50 €

Jetzt 112,49 €*

Alle Preise inkl. MwSt. | Versandkostenfrei
Artikel-Nr:
9780470127421
Veröffentl:
2007
Erscheinungsdatum:
01.11.2007
Seiten:
224
Autor:
Liming Xiu
Gewicht:
348 g
Format:
234x156x12 mm
Sprache:
Englisch
Beschreibung:

Liming Xiu is a Design Engineer and a Senior Member of Technical Staff (SMTS) at Texas Instruments, Inc. His interests include digital and mixed-signal integrated circuit design and VLSI physical design. He has worked on various mixed-signal devices, including video decoders, 3-D graphics controllers, and HDTV decoders, as physical design lead. He is also a Phase Lock Loop (PLL) expert. He is the inventor of "Flying-Adder" frequency and phase synthesis architecture, which has been used in many commercial products. He has eleven granted or pending U.S. patents. He is a Senior Member of the IEEE and the general chair of the IEEE Circuit and Systems Society, Dallas Chapter.
An accessible, intuitive resource to common-and not-so-common-questions and answers about very large-scale integrated (VLSI) circuit design
 
The widespread acceptance of sophisticated electronic devices and the growing challenges of a more technically oriented future have created an unprecedented demand for very large-scale integrated (VLSI) circuits. Meeting this crucial need requires advances in material science and processing equipment along with the ability to use the computer more effectively to aid in the design process. More importantly, it also requires a significant number of qualified professionals to work in this exciting field. Unfortunately, due to its complexity and dynamic nature, the topic of VLSI circuit design methodology is not widely taught in universities nor is it well understood by many engineers. Now, VLSI Circuit Design Methodology Demystified takes the guesswork out of this important topic by presenting readers with a succinct and accessible treatment of how a complex chip is developed, from concept to silicon.
 
Uniquely organized in a question-and-answer format, the book builds on information in the order of chip development: market research/product definition, system design, logic design, logic verification, logic synthesis, Place & Route, and physical verification. A wealth of important questions are addressed, including:
*
 
What are the requirements of a successful chip design?
*
 
What are the major approaches of today's VLSI circuit design practices?
*
 
What are the major process steps in building MOSFET transistors?
*
 
What is the role of functional verification in the IC design process?
*
 
What are the two major aspects of ASIC design flow?
*
 
What is Hardware Description Language (HDL)?
*
 
What is the most commonly used model in VLSI circuit testing?
*
 
What are the qualities of a good IC implementation designer?
 
The answers to these questions and many more provide the framework for understanding the key concepts involved in the VLSI chip development process, giving readers a solid foundation for further advancement in the field. Written by an industry expert, the book uses up-to-date, real-world design examples to demonstrate to readers how to become better engineers through a practical approach of diagnosing and attacking problems. Ideal as a resource for electrical engineers specializing in integrated circuit design in the semiconductor industry, this book is also suitable as a supplementary text for students enrolled in advanced VLSI courses.
This book was written to arm engineers qualified and knowledgeable in the area of VLSI circuits with the essential knowledge they need to get into this exciting field and to help those already in it achieve a higher level of proficiency.
Foreword (Richard Templeton). Foreword (Hans Stork). Preface. Acknowledgments. CHAPTER 1 THE BIG PICTURE. 1. What is a chip? 2. What are the requirements of a successful chip design? 3. What are the challenges in today s very deep submicron (VDSM), multimillion gate designs? 4. What major process technologies are used in today s design environment? 5. What are the goals of new chip design? 6. What are the major approaches of today s very large scale integration (VLSI) circuit design practices? 7. What is standard cell based, application specific integrated circuit (ASIC) design methodology? 8. What is the system on chip (SoC) approach? 9. What are the driving forces behind the SoC trend? 10. What are the major tasks in developing a SoC chip from concept to silicon? 11. What are the major costs of developing a chip? CHAPTER 2 THE BASICS OF THE CMOS PROCESS AND DEVICES. 12. What are the major process steps in building MOSFET transistors? 13. What are the two types of MOSFET transistors? 14. What are base layers and metal layers? 15. What are wafers and dies? 16. What is semiconductor lithography? 17. What is a package? CHAPTER 3 THE CHALLENGES IN VLSI CIRCUIT DESIGN. 18. What is the role of functional verification in the IC design process? 19. What are some of the design integrity issues? 20. What is design for testability? 21. Why is reducing the chip s power consumption so important? 22. What are some of the challenges in chip packaging? 23. What are the advantages of design reuse? 24. What is hardware/software co design? 25. Why is the clock so important? 26. What is the leakage current problem? 27. What is design for manufacturability? 28. What is chip reliability? 29. What is analog integration in the digital environment? 30. What is the role of EDA tools in IC design? 31. What is the role of the embedded processor in the SoC environment? CHAPTER 4 CELL BASED ASIC DESIGN METHODOLOGY. 32. What are the major tasks and personnel required in a chip design project? 33. What are the major steps in ASIC chip construction? 34. What is the ASIC design flow? 35. What are the two major aspects of ASIC design flow? 36. What are the characteristics of good design flow? 37. What is the role of market research in an ASIC project? 38. What is the optimal solution of an ASIC project? 39. What is system level study of a project? 40. What are the approaches for verifying design at the system level? 41. What is register transfer level (RTL) system level description? 42. What are methods of verifying design at the register transfer level? 43. What is a test bench? 44. What is code coverage? 45. What is functional coverage? 46. What is bug rate convergence? 47. What is design planning? 48. What are hard macro and soft macro? 49. What is hardware description language (HDL)? 50. What is register transfer level (RTL) description of hardware? 51. What is standard cell? What are the differences among standard cell, gate array, and sea of gate approaches? 52. What is an ASIC library? 53. What is logic synthesis? 54. What are the optimization targets of logic synthesis? 55. What is schematic or netlist? 56. What is the gate count of a design? 57. What is the purpose of test insertion during logic synthesis? 58. What is the most commonly used model in VLSI circuit testing? 59. What are controllability and observability in a digital circuit? 60. What is a testable circuit? 61. What is the aim of scan insertion? 62. What is fault coverage? What is defect part per million (DPPM)? 63. Why is design for testability important for a product s financial success? 64. What is chip power usage analysis? 65. What are the major components of CMOS power consumption? 66. What is power optimization? 67. What is VLSI physical design? 68. What are the problems that make VLSI physical design so challenging? 69. What is floorplanning? 70. What is the placement process? 71. What is the routing process? 72. What is a power network? 73. What is clock distribution? 74. What a
Lieferung vom Verlag mit leichten Qualitätsmängeln möglich

Kunden Rezensionen

Zu diesem Artikel ist noch keine Rezension vorhanden.
Helfen sie anderen Besuchern und verfassen Sie selbst eine Rezension.